Shared Flashcard Set

Details

ECE327 Concepts pre-midterm
Concepts for ECE327 pre-midterm
53
Electrical Engineering
Undergraduate 4
04/05/2012

Additional Electrical Engineering Flashcards

 


 

Cards

Term
What does simulation do?
Definition
define circuit behavior
Term
What does synthesis do?
Definition
converts VHDL code to hardware structure
Term
How does concurrent statements execute?
Definition
execute in parallel
Term
What does body of a process contain?
Definition
sequential statements
Term
Process must have what?
Definition
Either a sensitivity list or a wait statement
Term
Process must not have what?
Definition
Both sensitivity list and wait statement
Term
What is a sensitivity list?
Definition
signals that are read in the process
Term
When is a process executed?
Definition
When a signal in sensitivity list changes value
Term
What is an exception when a process has "if rising_edge"?
Definition
process only need to include the clock signal
Term
Can concurrent assignment be translated to sequential statement? What are vice versa?
Definition
All concurrent assignments can be translated to sequential statements, but not all sequential statements can be translated to concurrent statements
Term
Combinational process must have what?
Definition
Must have a sensitivity list
Term
Combinational process must not have what?
Definition
"wait" statements and "rising_edge"
Term
Clocked process must have what?
Definition
"wait" or "if rising_edge"
Term
What are the intuitions behind delta cycle simulation?
Definition
1. events appear to propagate through combinational circuit instantaneously
2. all of the gates appear to operate in parallel
Term
In delta cycle simulation, what are three states?
Definition
suspended, postponed, active
Term
In delta cycle simulation, what is suspended state?
Definition
nothing to currently execute
Term
In delta cycle simulation, how to go from suspended state to postponed state?
Definition
change in sensitivity list or condition in "wait" statement hits
Term
In delta cycle simulation, what is postponed state?
Definition
wants to executed, but not currently active
Term
In delta cycle simulation, how to go from postponed state to active state?
Definition
the simulation choose from the pool of postponed processes
Term
In delta cycle simulation, what is active state?
Definition
currently executing
Term
In delta cycle simulation, how to go from active state to suspended state?
Definition
hits "wait" statement or sensitivity list
Term
What are two features delta cycle simulation doesn't support?
Definition
1. delayed assignments
2. resolution, which is multiple processes write to same signal
Term
In delta cycle simulation, in n-threaded execution, how many processes are active?
Definition
n processes
Term
In delta cycle simulation, what is a simulation step?
Definition
executing one sequential assignment or process mode change
Term
In delta cycle simulation, what is a simulation cycle?
Definition
the operations that occur in one iteration of the simulation algorithm
Term
In delta cycle simulation, what is a delta cycle?
Definition
1. a simulation cycle that does not advance simulation.
2. a artificial unit of time that's infinitely small
Term
In delta cycle simulation, what is a simulation round?
Definition
a sequence of simulation cycles that all have the same simulation time
Term
What is a good design for creating test-bench with respect to clk and signals?
Definition
change in signals shouldn't happen at the same time as clk edge
Term
What RTL simulation cannot simulate?
Definition
cannot simulate combinational loops
Term
Why are flops free in FPGAs?
Definition
area consumed by a design is usually determined by the amount of combinational circuit, not by the number of flops
Term
How much percent of area should be used in a chip?
Definition
80-90%
Term
Should different clock edges be used?
Definition
No
Term
Compare Moore machines with Mealy machines
Definition
1. State: Moore machines depend only on the state but Mealy machines depend both on state and the inputs
2. Combinational path: Moore machines doesn't support calculations but Mealy machines supports calculations
Term
In dataflow diagram, how to know how many inputs?
Definition
Unconnected signal tails
Term
In dataflow diagram, what are clock cycle boundaries?
Definition
horizontal lines
Term
In dataflow diagram, how to know how many flops should be used?
Definition
signals crossing clock boundary
Term
In dataflow diagram, how to know how many outputs?
Definition
unconnected signal heads
Term
In dataflow diagram, how to know what's the latency?
Definition
number of clock cycle boundaries
Term
what's performance?
Definition
1/time
Term
What's time?
Definition
latency * clockPeriod
Term
In dataflow diagram, how to know that's the min clock period?
Definition
by looking at component
Term
In pipe line, what's fully pipelined?
Definition
throughput is one parcel per clock cycle
Term
In pipe line, what's partially pipelined?
Definition
throughput is less than one parcel per clock cycle
Term
In pipe line, what's superscalar?
Definition
throughput is more than one parcel per clock cycle
Term
In pipe line, what's depth?
Definition
number of stages
Term
In pipe line, what's latency?
Definition
same as non-piped
Term
In pipe line, what's throughput?
Definition
number of parcels consumed per clock cycle
Term
In pipe line, what's bubble?
Definition
contains invalid data
Term
In pipe line, how to know whether the output of the pipeline is a bubble or is valid data?
Definition
keep a "valid" signal
Term
write a picture for read after write
Definition
Term
Write a picture for write after write
Definition
Term
write a picture for write after read
Definition
Term
what's antidependency?
Definition
order can't be changed
Supporting users have an ad free experience!